Welcome![Sign In][Sign Up]
Location:
Search - verilog hdl code

Search list

[VHDL-FPGA-Verilogsource3-6

Description: verilog hdl教程135例:verilog hdl语言类似于C语言,便于学习。本文档带有源代码,3-6章-Verilog HDL 135 cases Guide : Verilog HDL language similar to the C language, to facilitate learning. This document with the source code, 3-6
Platform: | Size: 12288 | Author: 余月森 | Hits:

[VHDL-FPGA-Verilogsource7-8

Description: verilog hdl教程135例:verilog hdl语言类似于C语言,便于学习。本文档带有源代码,7-8章-Verilog HDL 135 cases Guide : Verilog HDL language similar to the C language, to facilitate learning. This document with the source code, 7- 8
Platform: | Size: 8192 | Author: 余月森 | Hits:

[VHDL-FPGA-Verilog多个Verilog的代码

Description: 多个VHDL编码的例题,详细的电路图介绍,还有流程图-many examples of VHDL code, the particular introduction of circuit diagram and flow chart
Platform: | Size: 88064 | Author: 陈栋栋 | Hits:

[VHDL-FPGA-Verilog双路脉冲发生器(veralog)

Description: Verilog HDL 程序 双路脉冲发生器的代码 包含了键盘控制,LED显示,脉冲发生,脉冲频率测量模块 是我自己写得,希望能对你有帮助,有问题可以mail:shaojunwu1@163.com-Verilog HDL dual-channel pulse generator procedure code includes a keyboard control, LED display, pulse, pulse frequency measurement module is written I hope to help you, it can be mail : shaojunwu1@163.com
Platform: | Size: 4096 | Author: 邵君武 | Hits:

[VHDL-FPGA-Verilogcrc_verilog_xilinx

Description: CRC校验码,用于对数据流进行crc校验。 主要有CRC_16,CRC_8,CRC_32校验。 所用语言为Verilog HDL.-CRC code for the data flow crc check. Main CRC_16, CRC_8, CRC_32 check. The language used for Verilog HDL.
Platform: | Size: 10240 | Author: 李鹏 | Hits:

[VHDL-FPGA-Verilogkeyboard_ps2_verilog

Description: 键盘鼠标的原代码,用FPGA实现,使用Verilog HDL编写,已经使用FPGA验正过了,完全可以用-keyboard and mouse of the original code, using FPGA, using Verilog HDL preparation, already in use FPGA-mortem is over, it can be used
Platform: | Size: 1480704 | Author: wpb3dm | Hits:

[VHDL-FPGA-VerilogCpu_model

Description: Verilog HDL编写的CPU模型,很经典,比较通用-Verilog HDL prepared by the CPU model, classic, more generic
Platform: | Size: 1024 | Author: wyl | Hits:

[ELanguageusb_funct

Description: USB接口的VHDL源码,支持Verilog HDL程序-USB VHDL source code, supports Verilog HDL procedures
Platform: | Size: 230400 | Author: 王森 | Hits:

[Software Engineeringrisc8

Description: 经典计算机体系结构RISC8的源代码(Verilog),包括CPU、内存、寄存器等的实现-classic computer architecture RISC8 the source code (Verilog), including CPU, memory, such as the realization Register
Platform: | Size: 82944 | Author: snake | Hits:

[OtherI2CbusVHDLVerilogHDL

Description: i2c总线verilog源代码 ,包括测试模块-i2c Bus verilog source code, including testing module
Platform: | Size: 509952 | Author: 张云凤 | Hits:

[VHDL-FPGA-Verilogcache

Description: 原创VERILOG HDL 实现CACHE的操作,有需要请下载-original verilog HDL achieve CACHE operation, the need to download
Platform: | Size: 4096 | Author: MingCheng | Hits:

[VHDL-FPGA-Verilog8251Verilog

Description: 通用串行异步收发器8251的Verilog HDL源代码,经过仿真验证。 -Universal Serial Asynchronous Receiver Transmitter 8251 the Verilog HDL source code, through simulation.
Platform: | Size: 15360 | Author: 钟兵 | Hits:

[ARM-PowerPC-ColdFire-MIPSverilog

Description: 8bit alu use verilog hdl
Platform: | Size: 8192 | Author: 周微微 | Hits:

[Internet-Networkiicreciver

Description: iic slave verilog hdl code
Platform: | Size: 1024 | Author: hrui | Hits:

[VHDL-FPGA-Verilogaltera_ram

Description: 本程序对如何使用altera系列芯片片上ram进行实例演示,采用Verilog HDL语言编写,并使用modelsim与quartus联合进行功能仿真。本原码是红色逻辑开发板的试验程序,值得一看。-This procedure of how to use the altera series chip-chip ram for example demonstration, using Verilog HDL language, and using ModelSim and Quartus functional simulation carried out jointly. Primitive code is red logic development board of the pilot program, worth a visit.
Platform: | Size: 180224 | Author: panyouyu | Hits:

[VHDL-FPGA-VerilogProcessor_alu

Description: this Code is in verilog HDL. This Code is for piplined processor with 4 opcode. this will work in three cycle latch, decode and exicute.. test bench for xilinx ise is laos given
Platform: | Size: 4096 | Author: Yogesh PAtel | Hits:

[Otherseven_seg_decoder

Description: ITS A verilog HDL code for seven segment display .. on different FPGA there are seven segment displays available .. any number from 0 to 9 can be displayed on it .. using this decoder a BCD input is required .. that would be decoded to seven segment display. different LEDS need to be lighted for displaying no. -ITS A verilog HDL code for seven segment display .. on different FPGA there are seven segment displays available .. any number from 0 to 9 can be displayed on it .. using this decoder a BCD input is required .. that would be decoded to seven segment display. different LEDS need to be lighted for displaying no.
Platform: | Size: 1024 | Author: hassan | Hits:

[VHDL-FPGA-Verilogmy_clock

Description: 使用verilog HDL语言编写的时钟电路代码,能实现24小时电子钟的功能。-Using verilog HDL code written in the clock circuit can achieve 24-hour clock function.
Platform: | Size: 258048 | Author: 周朝 | Hits:

[VHDL-FPGA-VerilogVerilog-HDL

Description: 这是关于VERILOG HDL的有限状态机的源码,大家参考参考,应该有好处的。-This is about VERILOG HDL source code for finite state machines, we refer to the reference, it should be good.
Platform: | Size: 6126592 | Author: 罗啰 | Hits:

[Communication-Mobilecode

Description: <基于Verilog HDL的通信系统设计>源码,包含ASK,FSK,PSK,QPSK,PPM等的调制解调-< Verilog HDL-based communication system design> source, including ASK, FSK, PSK, QPSK, PPM and other modem
Platform: | Size: 6144 | Author: cjl | Hits:
« 1 23 4 5 6 7 8 9 10 ... 27 »

CodeBus www.codebus.net